Journal

    Google scholar: link

  1. Y. C. Chen, T. S. Chang, "Image Synthesis With Efficient Defocus Blur for Stereoscopic Displays," IEEE Access, 2020, pp. 176304-176312.
  2. K. W. Chang, T. S. Chang, "VWA: Hardware efficient vectorwise accelerator for convolutional neural network," IEEE Transactions on Circuits and Systems I: Regular Papers 67 (1), 2019, pp. 145-154.
  3. Y. Lin and T. S. Chang, "Data and Hardware Efficient Design for Convolutional Neural Network," in IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 65, no. 5, pp. 1642-1651, May 2018.
  4. P. Chiang et al., "A QFHD 30-frames/s HEVC Decoder Design," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 26, no. 4, pp. 724-735, April 2016.
  5. S. Jou, S. Chang and T. Chang, "Fast Motion Estimation Algorithm and Design for Real Time QFHD High Efficiency Video Coding," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 25, no. 9, pp. 1533-1544, Sept. 2015.
  6. Y. FanChiang, C. Wei, Y. Meng, Y. Lin, S. Jou and T. Chang, "Correction to “Low Complexity Formant Estimation Adaptive Feedback Cancellation for Hearing Aids Using Pitch Based Processing” [Aug 14 1248-1259]," in IEEE/ACM Transactions on Audio, Speech, and Language Processing, vol. 22, no. 12, pp. 2256-2256, Dec. 2014.
  7. C.-W. Wei, T.-S. Chang, S.-J. Jou, C.-C. Tsai, and Y. Fanjiang, “Analysis and implementation of low-power perceptual multiband noise reduction for the hearing aids application,” IET Circuits, Devices & Systems, vol. 8, no. 6, pp. 516–525, 2014.
  8. Y. FanChiang, C. Wei, Y. Meng, Y. Lin, S. Jou and T. Chang, "Low Complexity Formant Estimation Adaptive Feedback Cancellation for Hearing Aids Using Pitch Based Processing," in IEEE/ACM Transactions on Audio, Speech, and Language Processing, vol. 22, no. 8, pp. 1248-1259, Aug.
  9. G. Wang, Y. Huang, T. Chang and T. Chen, "Bare Finger 3D Air-Touch System Using an Embedded Optical Sensor Array for Mobile Displays," in Journal of Display Technology, vol. 10, no. 1, pp. 13-18, Jan. 2014.
  10. Y. Huang, G. Wang, T. Chang and T. Chen, "Three-Dimensional Virtual Touch Display System for Multi-User Applications," in Journal of Display Technology, vol. 9, no. 11, pp. 921-928, Nov. 2013.
  11. G. Li and T. Chang, "An Efficient Mode Preselection Algorithm for Fractional Motion Estimation in H.264/AVC Scalable Video Extension," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 23, no. 11, pp. 1837-1848, Nov. 2013.
  12. G.-Z. Wang, Y.-P. Huang, and T.-S. Chang, “Bare finger 3D air-touch system with embedded multiwavelength optical sensor arrays for mobile 3D displays,” Journal of the Society for Information Display, vol. 21, no. 9, pp. 381–388, 2013.
  13. L. Chiu, T. Chang, J. Chen and N. Y. Chang, "Fast SIFT Design for Real-Time Visual Feature Extraction," in IEEE Transactions on Image Processing, vol. 22, no. 8, pp. 3158-3167, Aug. 2013.
  14. G. Li, T. Chen, M. Shen, M. Wen and T. Chang, "135-MHz 258-K Gates VLSI Design for All-Intra H.264/AVC Scalable Video Encoder," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 21, no. 4, pp. 636-647, April 2013.
  15. J. Hsieh and T. Chang, "Algorithm and Architecture Design of Bandwidth-Oriented Motion Estimation for Real-Time Mobile Video Applications," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 21, no. 1, pp. 33-42, Jan. 2013.
  16. J. Chen, J. Sun, Y. Shen, T. Sang, T. Chang and S. Jou, "A low-power body-channel communication system for binaural hearing aids," 2012 IEEE Biomedical Circuits and Systems Conference (BioCAS), Hsinchu, 2012, pp. 100-103.
  17. Y. Liao, G. Li and T. Chang, "A 385 MHz 13.54 K Gates Delay Balanced Two-Level CAVLC Decoder for Ultra HD H.264/AVC Video," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 22, no. 11, pp. 1604-1610, Nov. 2012.
  18. C. Wei, S. Su, T. Chang and S. Jou, "Sub uW Noise Reduction for CIC Hearing Aids," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 20, no. 5, pp. 937-947, May 2012.
  19. G. Li, Y. Chen, Y. Liao, P. Hsu, M. Wen and T. Chang, "A 135 MHz 542 k Gates High Throughput H.264/AVC Scalable High Profile Decoder," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 22, no. 4, pp. 626-635, April 2012.
  20. G. Li, Y. Chen, Y. Liao, P. Hsu, M. Wen and T. Chang, "A 135 MHz 542 k Gates High Throughput H.264/AVC Scalable High Profile Decoder," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 22, no. 4, pp. 626-635, April 2012.
  21. Y. Liao, G. Li and T. Chang, "A Highly Efficient VLSI Architecture for H.264/AVC Level 5.1 CABAC Decoder," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 22, no. 2, pp. 272-281, Feb. 2012.
  22. J.-H. Hsieh, W.-C. Tai, and T.-S. Chang, “Memory bandwidth-scalable motion estimation for mobile video coding,” EURASIP Journal on Advances in Signal Processing, vol. 2011, no. 1, 2011.
  23. Y. Tseng, P. Hsu and T. Chang, "A 124 Mpixels/s VLSI Design for Histogram-Based Joint Bilateral Filtering," in IEEE Transactions on Image Processing, vol. 20, no. 11, pp. 3231-3241, Nov. 2011.
  24. Y. Horng, Y. Tseng and T. Chang, "VLSI Architecture for Real-Time HD1080p View Synthesis Engine," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 21, no. 9, pp. 1329-1340, Sept. 2011.
  25. G. Li and T. Chang, "RD Optimized Bandwidth Efficient Motion Estimation and Its Hardware Design With On-Demand Data Access," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 20, no. 11, pp. 1565-1576, Nov. 2010.
  26. Y. Tseng and T. Chang, "Architecture Design of Belief Propagation for Real-Time Disparity Estimation," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 20, no. 11, pp. 1555-1564, Nov. 2010.
  27. N. Y. Chang, T. Tsai, B. Hsu, Y. Chen and T. Chang, "Algorithm and Architecture of Disparity Estimation With Mini-Census Adaptive Support Weight," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 20, no. 6, pp. 792-805, June 2010.
  28. N.-C. Chang, Y.-Z. Liao, and T.-S. Chang, “Analysis of shared-link AXI,” IET Computers & Digital Techniques, vol. 3, no. 4, pp. 373–383, Jul. 2009.
  29. Y. Lin, C. Ku, D. Li and T. Chang, "A 140-MHz 94 K Gates HD1080p 30-Frames/s Intra-Only Profile H.264 Encoder," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 19, no. 3, pp. 432-436, March 2009.
  30. S. Wang and T. Chang, "Adaptive De-Interlacing With Robust Overlapped Block Motion Compensation," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 18, no. 10, pp. 1437-1440, Oct. 2008.
  31. Y. Lin, C. Lin, T. Kuo and T. Chang, "A Hardware-Efficient H.264/AVC Motion-Estimation Design for High-Definition Video," in IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 55, no. 6, pp. 1526-1535, July 2008.
  32. H. Hsu, K. Lee, N. Y. Chang and T. Chang, "Architecture Design of Shape-Adaptive Discrete Cosine Transform and Its Inverse for MPEG-4 Video Coding," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 18, no. 3, pp. 375-386, March 2008.
  33. Y. Wang, C. Cheng and T. Chang, "A Fast Algorithm and Its VLSI Architecture for Fractional Motion Estimation for H.264/MPEG-4 AVC Video Coding," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 17, no. 5, pp. 578-583, May 2007.
  34. N. Y. Chang and T. Chang, "Combined Frame Memory Motion Compensation for Video Coding," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 16, no. 10, pp. 1280-1285, Oct. 2006.
  35. Esam A. Al Qaralleh, and Esam A. Al Qaralleh"Fast Variable Block Size Motion Estimation by Adaptive Early Termination," IEEE Transaction on Circuits and Systems for Video Technology, vol. 16, no. 8, August 2006.
  36. 1Chun-Wei Ku, Chao-Chung Cheng, Guo-Shiuan Yu, Min-Chi Tsai, and Tian-Sheuan Chang, "A High-Definition H.264/AVC Intra-Frame Codec IP for Digital Video and Still Camera Applications," IEEE Transaction on Circuits and Systems for Video Technology, vol. 16, no. 8, August 2006.
  37. E. A. Al qaralleh, T. -. Chang and K. -. Lee, "An Efficient Binary Motion Estimation Algorithm and its Architecture for MPEG-4 Shape Encoding," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 16, no. 7, pp. 859-868, July 2006.
  38. Chao-Chung Cheng, Tian-Sheuan Chang and Kun-Bin Lee, "An in-place architecture for the deblocking filter in H.264/AVC," in IEEE Transactions on Circuits and Systems II: Express Briefs, vol. 53, no. 7, pp. 530-534, July 2006.
  39. H.-C. Chen, J.-I. Guo, H.-C. Chen, C.-W. Jen, and T.-S. Chang, “Distributed arithmetic realisation of cyclic convolution and its DFT application,” IEE Proceedings - Circuits, Devices and Systems, vol. 152, no. 6, p. 615, Dec. 2005.
  40. H.-C. Chen, T.-S. Chang, J.-I. Guo, and C.-W. Jen, “The Long Length DHT Design with a New Hardware Efficient Distributed Arithmetic Approach and Cyclic Preserving Partitioning,” IEICE Transactions on Electronics, vol. E88-C, no. 5, pp. 1061–1069, 2005.
  41. Hun-Chen Chen, Jiun-In Guo, Tian-Sheuan Chang and Chein-Wei Jen, "A memory-efficient realization of cyclic convolution and its application to discrete cosine transform," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 15, no. 3, pp. 445-453, March 2005.
  42. Jen-Chieh Tuan, Tian-Sheuan Chang and Chein-Wei Jen, "On the data reuse and memory bandwidth analysis for full-search block-matching VLSI architecture," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 12, no. 1, pp. 61-72, Jan. 2002.
  43. Tian-Sheuan Chang, Jiun-In Guo and Chein-Wei Jen, "Hardware-efficient DFT designs with cyclic convolution and subexpression sharing," in IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, vol. 47, no. 9, pp. 886-892, Sept. 2000.
  44. Tian-Sheuan Chang, Chin-Sheng Kung and Chein-Wei Jen, "A simple processor core design for DCT/IDCT," in IEEE Transactions on Circuits and Systems for Video Technology, vol. 10, no. 3, pp. 439-447, April 2000.
  45. Tian-Sheuan Chang, Yuan-Hua Chu and Chein-Wei Jen, "Low-power FIR filter realization with differential coefficients and inputs," in IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, vol. 47, no. 2, pp. 137-145, Feb. 2000.
  46. El Aakif, M., Belkouch, S., Mrabet Hassani, M., Chang, T. S., ... and Chang, C. W., "Overview of AVS-video coding standards. Research Journal of Information Technology", Research Journal of Information Technology, vol. 6, no. 1, pp. 439-447, 2000.
  47. T.-S. Chang and C.-W. Jen, “Hardware-efficient implementations for discrete function transforms using LUT-based FPGAs,” IEE Proceedings - Computers and Digital Techniques, vol. 146, no. 6, p. 309, 1999.
  48. T.-S. Chang, C. Chen, and C.-W. Jen, “New distributed arithmetic algorithm and its application to IDCT,” IEE Proceedings - Circuits, Devices and Systems, vol. 146, no. 4, p. 159, 1999.
  49. Ching-Chao Yang, Tian-Sheuan Chang and Chien-Wei Jen, "A new RSA cryptosystem hardware design based on Montgomery's algorithm," in IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, vol. 45, no. 7, pp. 908-913, July 1998.
  50. Ching-Mei Huang, Tian-Sheuan Chang and Chein-Wei Jen, "A multiplierless reconfigurable resizer for multi-window image display," in IEEE Transactions on Consumer Electronics, vol. 43, no. 3, pp. 826-832, Aug. 1997.
  51. T.-S. Chang and C.-W. Jen, “On-chip memory module designs for video-signal processing,” IEE Proceedings - Circuits, Devices and Systems, vol. 144, no. 3, p. 138, 1997.
  52. T. S. Chang and T. F. Lin, “On the reversed flow and oscillating wake in an asymmetrically heated channel,” International Journal for Numerical Methods in Fluids, vol. 10, no. 4, pp. 443–459, 1990.
  53. T. Chang, Y. Wang, and C. Wan, “Structural effect of the zinc electrode on its discharge performance,” Journal of Power Sources, vol. 10, no. 2, pp. 167–177, 1983.

Conference

  1. H. H. Lien, C. W. Hsu, T. S. Chang, "VSA: Reconfigurable Vectorwise Spiking Neural Network Accelerator," , 2021 IEEE International Symposium on Circuits and Systems (ISCAS), 2021, pp. 1-5.
  2. J. D. Sui, W. H. Chen, T. Y. Shiang, T. S. Chang, "Real-time wearable gait phase segmentation for running and walking," 2020 IEEE International Symposium on Circuits and Systems (ISCAS), 2020, pp. 1-5.
  3. K. W. Chang, T. S. Chang, "Efficient accelerator for dilated and transposed convolution with decomposition," 2020 IEEE International Symposium on Circuits and Systems (ISCAS), 2020, pp. 1-5.
  4. Y. Chen, J. D. Sui, T. S. Chang, "Real Time On Sensor Gait Phase Detection with 0.5 KB Deep Learning Model," 2020 IEEE International Conference on Consumer Electronics-Taiwan (ICCE-Taiwan), 2020, pp. 1-2.
  5. H. Huang, T. S. Chang, J. Y. Wu, "A secure file sharing system based on IPFS and blockchain," Proceedings of the 2020 2nd International Electronics Communication Conference, 2020, pp. 96-100.
  6. Y. Qiu, C. S. Chang, J. L. Yan, L. Ko, T.S. Chang, "Semantic segmentation of intracranial hemorrhages in head CT scans," 2019 IEEE 10th International Conference on Software Engineering and Service Science (ICSESS), 2019, pp. 112-115.
  7. Chih-Cheng Chang, Ming-Hung Wu, Jia-Wei Lin, Chun-Hsien Li, Vivek Parmar, Heng-Yuan Lee, Jeng-Hua Wei, Shyh-Shyuan Sheu, Manan Suri, Tian-Sheuan Chang, Tuo-Hung Hou, "NV-BNN: An accurate deep convolutional neural network based on binary STT-MRAM for adaptive AI edge," 2019 56th ACM/IEEE Design Automation Conference (DAC), 2019, pp. 1-6.
  8. K. Chang and T. Chang, "VSCNN: Convolution Neural Network Accelerator With Vector Sparsity," 2019 IEEE International Symposium on Circuits and Systems (ISCAS), Sapporo, Japan, 2019, pp. 1-5.
  9. H. Chen and T. Chang, "Fast rate distortion optimization with adaptive context group modeling for HEVC," 2017 IEEE International Symposium on Circuits and Systems (ISCAS), Baltimore, MD, 2017, pp. 1-4.
  10. Y. Chen and T. Chang, "Perceptual oriented depth cue enhancement for stereoscopic view synthesis," 2016 3DTV-Conference: The True Vision - Capture, Transmission and Display of 3D Video (3DTV-CON), Hamburg, 2016, pp. 1-4.
  11. H. Fang, H. Chen and T. Chang, "Fast intra prediction algorithm and design for high efficiency video coding," 2016 IEEE International Symposium on Circuits and Systems (ISCAS), Montreal, QC, 2016, pp. 1770-1773.
  12. Hsuan-Ku Chen, Chih-Chung Fang and T. S. Chang, "A multi-bin constant throughput CABAC decoder for HEVC," 2015 IEEE Jordan Conference on Applied Electrical Engineering and Computing Technologies (AEECT), Amman, 2015, pp. 1-4.
  13. T. Chou, W. Wang and T. S. Chang, "Low complexity real time BCI for stroke rehabilitation," 2015 IEEE International Conference on Digital Signal Processing (DSP), Singapore, 2015, pp. 809-812.
  14. J. Chang and T. S. Chang, "Fast rate distortion optimization design for HEVC intra coding," 2015 IEEE International Conference on Digital Signal Processing (DSP), Singapore, 2015, pp. 473-476.
  15. C. Fang, I. Chen and T. Chang, "A hardware-efficient deblocking filter design for HEVC," 2015 IEEE International Symposium on Circuits and Systems (ISCAS), Lisbon, 2015, pp. 1786-1789.
  16. C. Chang, P. Huang, Y. Chen, T. Chang and W. Hwang, "Thermal-aware memory management unit of 3D-stacked DRAM for 3D high definition (HD) video," 2014 27th IEEE International System-on-Chip Conference (SOCC), Las Vegas, NV, 2014, pp. 76-81.
  17. Y. Ting and T. Chang, "Gradient-based PU size selection for HEVC intra prediction," 2014 IEEE International Symposium on Circuits and Systems (ISCAS), Melbourne VIC, 2014, pp. 1929-1932.
  18. S. Hsu and T. S. Chang, "A real time 1080P 30FPS Gaussian Mixture Modeling design for background subtraction and object extraction," 2014 IEEE International Conference on Consumer Electronics - Taiwan, Taipei, 2014, pp. 185-186.
  19. S. Jou and T. Chang, "Fast prediction unit selection for HEVC fractional pel motion estimation design," SiPS 2013 Proceedings, Taipei City, 2013, pp. 247-250.
  20. P. Chiang and T. S. Chang, "A reconfigurable inverse transform architecture design for HEVC decoder," 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), Beijing, 2013, pp. 1006-1009.
  21. P. Chiang and T. S. Chang, "Fast zero block detection and early CU termination for HEVC Video Coding," 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), Beijing, 2013, pp. 1640-1643.
  22. Y. Ting and T. Chang, "Fast intra prediction algorithm with transform domain edge detection for HEVC," 2012 IEEE Asia Pacific Conference on Circuits and Systems, Kaohsiung, 2012, pp. 144-147.
  23. Y. Tseng and T. Chang, "Fast disparity estimation for 3DTV applications," 2012 Visual Communications and Image Processing, San Diego, CA, 2012, pp. 1-5.
  24. S. Hsu and T. Chang, "A low complexity speech coder for binaural communication in hearing aids," 2012 IEEE International Symposium on Circuits and Systems, Seoul, 2012, pp. 2801-2804.
  25. H. Chen and T. Chang, "A high throughput CAVLC design for HEVC," 2012 IEEE International Symposium on Circuits and Systems, Seoul, 2012, pp. 1919-1922.
  26. Liang-Chi Chiu and Tian-Sheuan Chang, "A lossless embedded compression codec engine for HD video decoding," Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, Hsinchu, 2012, pp. 1-4.
  27. H. Chen and T. Chang, "A high throughput CAVLC design for HEVC," 2012 IEEE International Symposium on Circuits and Systems, Seoul, 2012, pp. 1919-1922.
  28. Liang-Chi Chiu and Tian-Sheuan Chang, "A lossless embedded compression codec engine for HD video decoding," Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, Hsinchu, 2012, pp. 1-4.
  29. F. Chang, Y. Tseng and T. Chang, "A 94fps view synthesis engine for HD1080p video," 2011 Visual Communications and Image Processing (VCIP), Tainan, 2011, pp. 1-4.
  30. Jui-Hung Hsieh and Tian-Sheuan Chang, "Bandwidth-constrained motion estimation for real-time mobile video application," 2011 17th International Conference on Digital Signal Processing (DSP), Corfu, 2011, pp. 1-6.
  31. Gwo-Long Li and T. Chang, "An efficient mode pre-selection algorithm for H.264/AVC scalable video extension fractional motion estimation," 2011 17th International Conference on Digital Signal Processing (DSP), Corfu, 2011, pp. 1-5.
  32. Y. Tseng and T. Chang, "Fast algorithm for local stereo matching in disparity estimation," 2011 17th International Conference on Digital Signal Processing (DSP), Corfu, 2011, pp. 1-6.
  33. L. Zhang, K. Zhang, T. S. Chang, G. Lafruit, G. K. Kuzmanov, and D. Verkest, “Real-time high-definition stereo matching on FPGA,” Proceedings of the 19th ACM/SIGDA international symposium on Field programmable gate arrays - FPGA 11, pp. 55–64, Feb. 2011.
  34. Y. Tseng, P. Hsu and T. Chang, "Fast stereo matching with predictive search range," 28th Picture Coding Symposium, Nagoya, 2010, pp. 506-509.
  35. C. Wei, C. Tsai, T. Chang and S. Jou, "Perceptual multiband spectral subtraction for noise reduction in hearing aids," 2010 IEEE Asia Pacific Conference on Circuits and Systems, Kuala Lumpur, 2010, pp. 692-695.
  36. C. Wei et al., "A low-power Mandarin-specific hearing aid chip," 2010 IEEE Asian Solid-State Circuits Conference, Beijing, 2010, pp. 1-4.
  37. Y. Chen, G. Li and T. Chang, "Efficient inter-layer prediction hardware design with extended spatial scalability for H.264/AVC scalable extension," Proceedings of 2010 IEEE International Symposium on Circuits and Systems, Paris, 2010, pp. 665-668.
  38. P. Hsu, Y. Tseng and T. Chang, "Low memory cost bilateral filtering using stripe-based sliding integral histogram," Proceedings of 2010 IEEE International Symposium on Circuits and Systems, Paris, 2010, pp. 3120-3123.
  39. Y. Liao, G. Li and T. Chang, "A high throughput VLSI design with hybrid memory architecture for H.264/AVC CABAC decoder," Proceedings of 2010 IEEE International Symposium on Circuits and Systems, Paris, 2010, pp. 2007-2010.
  40. Y. Horng, Y. Tseng and T. Chang, "Stereoscopic images generation with directional Gaussian filter," Proceedings of 2010 IEEE International Symposium on Circuits and Systems, Paris, 2010, pp. 2650-2653.
  41. M.-W. Shen, G.-L. Li, and T.-S. Chang, “A memory efficient Fine Grain Scalability coefficient encoding method for H.264/AVC Scalable Video Extension,” 2009 IEEE International Symposium on Circuits and Systems, 2009.
  42. T.-Y. Chen, G.-L. Li, and T.-S. Chang, “Memory analysis for H.264/AVC scalable extension encoder,” 2009 IEEE International Symposium on Circuits and Systems, 2009.
  43. W.-C. Chang, G.-L. Li, T.-S. Chang, "Power-Aware Coding for H.264/AVC Video Encoder", Proc. of VLSI Design/CAD Symposium, August, 2009.
  44. W. Tai, G. Li and T. Chang, "Bandwidth-rate-distortion optimized motion estimation," 2009 IEEE International Conference on Multimedia and Expo, New York, NY, 2009, pp. 161-164.
  45. M. Shen, G. Li and T. Chang, "A memory efficient Fine Grain Scalability coefficient encoding method for H.264/AVC Scalable Video Extension," 2009 IEEE International Symposium on Circuits and Systems, Taipei, 2009, pp. 3034-3037.
  46. Y. Tseng, N. Y. Chang and T. Chang, "Low-memory cost belief propagation architecture for disparity estimation," 2009 IEEE International Symposium on Circuits and Systems, Taipei, 2009, pp. 153-156.
  47. T. Chen, G. Li and T. Chang, "Memory analysis for H.264/AVC scalable extension encoder," 2009 IEEE International Symposium on Circuits and Systems, Taipei, 2009, pp. 361-364.
  48. N. Y. Chang, Y. Tseng and T. S. Chang, "Analysis of color space and similarity measure impact on stereo block matching," APCCAS 2008 - 2008 IEEE Asia Pacific Conference on Circuits and Systems, Macao, 2008, pp. 926-929.
  49. Y. Tseng, N. Y. Chang and T. Chang, "Block-based belief propagation with in-place message updating for stereo vision," APCCAS 2008 - 2008 IEEE Asia Pacific Conference on Circuits and Systems, Macao, 2008, pp. 918-921.
  50. Yu-Kun Lin et al., "A 242mW, 10mm2 1080p H.264/AVC high profile encoder chip," 2008 45th ACM/IEEE Design Automation Conference, Anaheim, CA, 2008, pp. 78-83.
  51. Jing-Chu Chan, N. Yen-Chung Chang and Tian-Sheuan Chang, "ISID : In-order scan and indexed diffusion segmentation algorithm for stereo vision," 2008 IEEE International Symposium on Circuits and Systems, Seattle, WA, 2008, pp. 3478-3481.
  52. Tsung-Hsien Tsai, N. Yen-Chung Chang and Tian-Sheuan Chang, "Data reuse analysis of local stereo matching," 2008 IEEE International Symposium on Circuits and Systems, Seattle, WA, 2008, pp. 812-815.
  53. C. Lin, Y. Lin and T. Chang, "Hardware Efficient Skip Mode Detection for H.264/AVC," 2008 Digest of Technical Papers - International Conference on Consumer Electronics, Las Vegas, NV, 2008, pp. 1-2.
  54. Jing-Chu Chan, Nelson Yen-Chung Chang, Yu-Cheng Tseng and Tian-Sheuan Chang, "Local Belief Aggregation for MRF-Based Color Image Segmentation," in Proc. Conference on Vision Graphics and Image Processing, 2008.
  55. Li-Yang Ku, Shih-Hao Wen, Nelson Chang, and Tian-Sheuan Chang, "A Low-Cost Real-Time Command Control System Based one Stereo-Vision and Hand Motion," in Proc. Conference on Vision Graphics and Image Processing, 2008.
  56. Bo-Wen Shi, Cheng-Chun Tsai, and Tian-Sheuan Chang, "Low Power Acoustic Feedback Cancellation for Hearing Aids," in Proc. VLSI Design/CAD Symposium, 2008.
  57. T. Tsai, Y. C. Chang, Y. Tseng, and T. S. Chang, “Census Diffusion with Segment Constraint for Disparity Estimation in Stereo Vision,” Proceedings of the Computer Vision, Graphics and Image Processing (CVGIP), Aug. 2007.
  58. G. Yu and T. S. Chang, "Optimal Data Mapping for Motion Compensation in H.264 Video Decoding," 2007 IEEE Workshop on Signal Processing Systems, Shanghai, China, 2007, pp. 505-508.
  59. Y. Tseng, N. Chang and T. Chang, "Low Memory Cost Block-Based Belief Propagation for Stereo Correspondence," 2007 IEEE International Conference on Multimedia and Expo, Beijing, 2007, pp. 1415-1418.
  60. N. Chang, T. Lin, T. Tsai, Y. Tseng and T. Chang, "Real-Time DSP Implementation on Local Stereo Matching," 2007 IEEE International Conference on Multimedia and Expo, Beijing, 2007, pp. 2090-2093.
  61. J. Chen, Y. Lin and T. Chang, "A low cost context adaptive arithmetic coder for H. 264/MPEG-4 AVC video coding," 2007 IEEE International Conference on Acoustics, Speech and Signal Processing - ICASSP '07, Honolulu, HI, 2007, pp. II-105-II-108.
  62. D. Li, C. Ku, C. Cheng, Y. Lin, and T. Chang, "A 61MHz 72K gates 1280× 720 30fps H. 264 intra encoder," 2007 IEEE International Conference on Acoustics, Speech and Signal Processing - ICASSP '07, Honolulu, HI, 2007, pp. II-801-II-804.
  63. C. Lin, Y. Lin and T. Chang, "PMRME: A parallel multi-resolution motion estimation algorithm and architecture for HDTV sized H. 264 video coding," 2007 IEEE International Conference on Acoustics, Speech and Signal Processing - ICASSP '07, Honolulu, HI, 2007, pp. II-385-II-388.
  64. T. Kuo, Y. Lin and T. Chang, "SIFME: A Single Iteration Fractional-Pel Motion Estimation Algorithm and Architecture for HDTV Sized H.264 Video Coding," 2007 IEEE International Conference on Acoustics, Speech and Signal Processing - ICASSP '07, Honolulu, HI, 2007, pp. I-1185-I-1188.
  65. Tsung-Hsien Tsai, Nelson Yen-Chung Chang and Tian-Sheuan Chang, "Hierarchical Decision Table for Bad Pixel Detection in Stereo Vision," n Proc. VLSI Design/CAD Symposium, 2007.
  66. Nelson Yen-Chung Chang and Tian-Sheuan Chang, "A Scalable Graph-cut Engine Architecture for Real-time Vision," in Proc. VLSI Design/CAD Symposium, 2007.
  67. J. Huang, Y. Lin and T. Chang, "A Display Order Oriented Scalable Video Decoder," APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems, Singapore, 2006, pp. 1976-1979.
  68. C. Lin, Y. Lin and T. Chang, "A fast algorithm and its architecture for motion estimation in MPEG-4 AVC/H. 264 video coding," APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems, Singapore, 2006, pp. 1248-1251.
  69. M. Tsai, and T. Chang, "High performance context adaptive variable length coding encoder for MPEG-4 AVC/H. 264 video coding," APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems, Singapore, 2006, pp. 586-589.
  70. T. Kuo, Y. Lin and T. Chang, "A Memory Bandwidth Optimized Interpolator for Motion Compensation in the H.264 Video Decoding," APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems, Singapore, 2006, pp. 1244-1247.
  71. Chao-Chung Cheng, Chun-Wei Ku and Tian-Sheuan Chang, "A 1280/spl times/720 pixels 30 frames/s H.264/MPEG-4 AVC intra encoder," 2006 IEEE International Symposium on Circuits and Systems, Island of Kos, 2006, pp. 4 pp.-5338.
  72. Yu-Jen Wang, Chao-Chung Cheng and Tian-Sheuan Chang, "A fast fractional pel motion estimation algorithm for H.264/MPEG-4 AVC," 2006 IEEE International Symposium on Circuits and Systems, Island of Kos, 2006, pp. 4 pp.-.
  73. Guo-Shiuan Yu and Tian-Sheuan Chang, "A zero-skipping multi-symbol CAVLC decoder for MPEG-4 AVC/H.264," 2006 IEEE International Symposium on Circuits and Systems, Island of Kos, 2006, pp. 4 pp.-.
  74. Hung-Chih Lin, Yu-Jen Wang, Kai-Ting Cheng, Shang-Yu Yeh, Wei-Nien Chen, Chia-Yang Tsai, Tian-Sheuan Chang, Hsueh-Ming Hang, "Algorithms and DSP Implementation of H.264/AVC," in Proc. Asia and South Pacific Design Automation Conference(ASP-DAC), 2006.
  75. E. A. Al Qaralleh and Tian-Sheuan Chang, "Fast motion estimation by adaptive early termination," IEEE Workshop on Signal Processing Systems Design and Implementation, 2005., Athens, Greece, 2005, pp. 678-681.
  76. Yu-Kun Lin and Tian-Sheuan Chang, "Fast block type decision algorithm for intra prediction in H.264 FRext," IEEE International Conference on Image Processing 2005, Genova, 2005, pp. I-585.
  77. N. Y. -. Chang and T. -. Chang, "Combined frame memory architecture for motion compensation in video decoding," 2005 IEEE International Symposium on Circuits and Systems, Kobe, 2005, pp. 1806-1809 Vol. 2.
  78. Chao-Chung Cheng and Tian-Sheuan Chang, "Fast three step intra prediction algorithm for 4/spl times/4 blocks in H.264," 2005 IEEE International Symposium on Circuits and Systems, Kobe, 2005, pp. 1509-1512 Vol. 2.
  79. Hui-Cheng Hsu, N. Y. -. Chang and Tian-Sheuan Chang, "Architecture design of MPEG-4 texture decoder supporting object-based video coding," 2005 IEEE VLSI-TSA International Symposium on VLSI Design, Automation and Test, 2005. (VLSI-TSA-DAT)., Hsinchu, Taiwan, 2005, pp. 275-278.
  80. Huo-Yun Chin, Chao-Chung Cheng, Yu-Kun Lin, and Tian-Sheuan Chang, "A Bandwidth Efficient Subsampling-based Block Matching Architecture for Motion Estimation," in Proc. Asia and South Pacific Design Automation Conference(ASP-DAC), 2005.
  81. Chao-Chung Cheng and Tian-Sheuan Chang, "An hardware efficient deblocking filter for H.264/AVC," 2005 Digest of Technical Papers. International Conference on Consumer Electronics, 2005. ICCE., Las Vegas, NV, 2005, pp. 235-236.
  82. Nelson Yen-Chung Chang and Tian-Sheuan Chang, "Evaluation on the Software Implementation of Combined Frame Memory Motion Compensation," in Proc. VLSI Design/CAD Symposium, 2005.
  83. Chao-Chung Cheng, Yu-Jen Wang and Tian-Sheuan Chang, "A Fast Fractional Pel Motion Estimation Algorithm for H.264/AVC," in Proc. VLSI Design/CAD Symposium, 2005.
  84. Run-Chen Chen, Tian-Sheuan Chang and Chein-Wei Jen, "A low power and memory efficient distributed arithmetic design and its DCT application," The 2004 IEEE Asia-Pacific Conference on Circuits and Systems, 2004. Proceedings., Tainan, 2004, pp. 805-808.
  85. Nelson Yen-Chung Chang, Kun-Bin Lee and Tian-Sheuan Chang, "Platform-dependent database and performance estimation for video application in embedded system," The 2004 IEEE Asia-Pacific Conference on Circuits and Systems, 2004. Proceedings., Tainan, 2004, pp. 1157-1160 vol.2.
  86. Hui-Cheng Hsu, Kun-Bin Lee, Nelson Yen-Chung Chang and Tian-Sheuan Chang, "An MPEG-4 shape-adaptive inverse DCT with zero skipping and auto-aligned transpose memory," The 2004 IEEE Asia-Pacific Conference on Circuits and Systems, 2004. Proceedings., Tainan, 2004, pp. 773-776.
  87. Tian-Sheuan Chang, Jiun-In Guo and Chein-Wei Jen, "A compact IDCT processor for HDTV applications," 1999 IEEE Workshop on Signal Processing Systems. SiPS 99. Design and Implementation (Cat. No.99TH8461), Taipei, Taiwan, 1999, pp. 151-158.
  88. Tian-Sheuan Chang and Chein-Wei Jen, "Hardware efficient transform designs with cyclic formulation and subexpression sharing," ISCAS '98. Proceedings of the 1998 IEEE International Symposium on Circuits and Systems (Cat. No.98CH36187), Monterey, CA, 1998, pp. 398-401 vol.2.
  89. Ching-Chao Yang, Chein-Wei Jen and Tian-Sheuan Chang, "The IC design of a high speed RSA processor," Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems, Seoul, South Korea, 1996, pp. 33-36.
  90. Chingson Chen, Tian Sheuan Chang and Chein-Wei Jen, "The IDCT processor on the adder-based distributed arithmetic," 1996 Symposium on VLSI Circuits. Digest of Technical Papers, Honolulu, HI, USA, 1996, pp. 36-37.
  91. Tian-Sheuan Chang and Chein-Wei Jen, "Embedded memory module design for video signal processing," VLSI Signal Processing, VIII, Sakai, Japan, 1995, pp. 501-510.
  92. S. Chung, T.-S. Chang, and P.-C. Hsu, “A high level simulator feasible for reliability analysis of VLSI circuits,” [1991] Proceedings Fourth Annual IEEE International ASIC Conference and Exhibit.

Book Chapter

  1. Y.-C. Tseng and T.-S. Chang, “Chapter 24: Real-Time Disparity Estimation Engine for High-Definition 3 DTV Applications,” Emerging Technologies for 3D Video, pp. 468–485, 2013.
  2. C.-K. Liao, H.-C. Yeh, K. Zhang, V. Geert, T.-S. Chang, and G. Lafruit, “Stereo Matching and Viewpoint Synthesis FPGA Implementation,” in 3D-TV System with Depth-Image-Based Rendering, pp. 69–106, 2013.
  3. L. Zhang, K. Zhang, J. Lu, T.-S. Chang, G. Lafruitm “Low-complexity stereo matching and viewpoint interpolation in embedded consumer applications,” in Depth Map and 3D Imaging Applications: Algorithms and Technologies, pp. 307-330, IGI Global, 2012
  4. K.-B. Lee and T.-S. Chang, “SoC Memory System Design,” in Essential Issues in SOC Design(Y.-L. S. Lin), pp. 73–118, Springer, 2006.